Quartus/プロジェクト

New Projectを選択した場合にはプロジェクトウィザードが起動し、プロジェクトの様々な設定を行う。プロジェクトウィザードの設定について順を追って説明する。

Introduction 今後の手順の解説

Introductionページは Nextボタンを押して次の画面へ遷移する。今後、Introductionページを表示させたくない場合には、左下の “Don’t show me this introduction again”にチェックを入れて “Next >” ボタンを押す。

ワークディレクトリとプロジェクト名の指定

  • 上段から、”プロジェクトフォルダ名”,”プロジェクト名”,”最上位層のエンティティ名”を指定する。
  • 英数字のみを使用する。漢字・ひらがな・カタカナ・特殊文字・記号やスペースは使用しない。アンダースコアと数字のみのプロジェクトやフォルダ名等を指定しないこと。シミュレーション等で構文エラー(syntax errot)を発生させる可能性あり。
  • 管理を容易にするため、最上位階層のエンティティ名とプロジェクト名を同じにすることを推奨する(自動入力補完されるのでそれに任せる)。
  • ディレクトリがあるときには確認のダイアログが出ることがある。

Project Type 指定のページ

新規につくるプロジェクトのタイプをしている画面が表示されるので、”Empty Project”を選択して”Next >”ボタンを押す。

Add Fileページ

必要なデザイン・ファイルやユーザーライブラリのファイルを指定する。再利用する設計ファイル等がない場合には、ここは何もせずに”Next >”でスキップ。 再利用する場合でも、プロジェクトフォルダの直下にすべてのデザインファイルがある場合には指定の必要はない。その場合、”Next”を押して、次のページに遷移する。

デザインファイルを様々なフォルダに小分けしてある場合やライブラリが指定されている場合にはファイルやフォルダを登録する必要がある。

  • ファイルを登録する: Quartusのプロジェクト・フォルダに含まれないデザインファイルを使用する場合
  • ユーザ・ライブラリを登録する: Quartus のプロジェクト・フォルダに含まれないデザインファイルが多数フォルダに存在する場合

※Quartus プロジェクトを作成後でも、「Assignment…」→「Settings…」→「Libraris」で指定・変更することができる。

Deviceの設定

デバイスを設定する。デバイスファミリーはCyclone IV Eを指定する。DE2-115に搭載のFPGAはCyclone IV EP4CE115F29C7である。”Name Filter:”に”115F29“を入力すると選択が早くできる。

ツールの設定

Simulation のTool NameはModelSim-Alteraを選択、FormatはVerilog HDLを選択。その他は”<none>”のままで良い。

設定のサマリ

これまでの指定が誤っていないかを最後のサマリのページで確認する。特にデバイスの指定については、使用するFPGAと一致しているかをよく確認すること。